"VHDL" meaning in All languages combined

See VHDL on Wiktionary

Proper name [English]

Head templates: {{en-proper noun}} VHDL
  1. (computer languages, hardware) VHSIC Hardware Description Language. Wikipedia link: VHDL Categories (topical): Computer languages
{
  "head_templates": [
    {
      "args": {},
      "expansion": "VHDL",
      "name": "en-proper noun"
    }
  ],
  "lang": "English",
  "lang_code": "en",
  "pos": "name",
  "senses": [
    {
      "categories": [
        {
          "kind": "other",
          "name": "English entries with incorrect language header",
          "parents": [
            "Entries with incorrect language header",
            "Entry maintenance"
          ],
          "source": "w"
        },
        {
          "kind": "other",
          "name": "Pages with 1 entry",
          "parents": [],
          "source": "w"
        },
        {
          "kind": "topical",
          "langcode": "en",
          "name": "Computer languages",
          "orig": "en:Computer languages",
          "parents": [
            "Programming",
            "Computing",
            "Software engineering",
            "Technology",
            "Computer science",
            "Engineering",
            "Software",
            "All topics",
            "Sciences",
            "Applied sciences",
            "Media",
            "Fundamental",
            "Communication"
          ],
          "source": "w"
        }
      ],
      "examples": [
        {
          "text": "„VHDL (VHSIC Hardware Description Language) is a hardware description language used in electronic design automation to describe digital and mixed-signal systems such as field-programmable gate arrays and integrated circuits. VHDL can also be used as a general purpose parallel programming language.“"
        }
      ],
      "glosses": [
        "VHSIC Hardware Description Language."
      ],
      "id": "en-VHDL-en-name-Y-W24nQf",
      "links": [
        [
          "computer language",
          "computer language"
        ],
        [
          "VHSIC",
          "VHSIC"
        ],
        [
          "Hardware",
          "hardware"
        ],
        [
          "Description",
          "description"
        ],
        [
          "Language",
          "language"
        ]
      ],
      "qualifier": "hardware",
      "raw_glosses": [
        "(computer languages, hardware) VHSIC Hardware Description Language."
      ],
      "topics": [
        "computer-languages",
        "computing",
        "engineering",
        "mathematics",
        "natural-sciences",
        "physical-sciences",
        "sciences"
      ],
      "wikipedia": [
        "VHDL"
      ]
    }
  ],
  "word": "VHDL"
}
{
  "head_templates": [
    {
      "args": {},
      "expansion": "VHDL",
      "name": "en-proper noun"
    }
  ],
  "lang": "English",
  "lang_code": "en",
  "pos": "name",
  "senses": [
    {
      "categories": [
        "English entries with incorrect language header",
        "English lemmas",
        "English proper nouns",
        "English uncountable nouns",
        "English words without vowels",
        "Pages with 1 entry",
        "en:Computer languages"
      ],
      "examples": [
        {
          "text": "„VHDL (VHSIC Hardware Description Language) is a hardware description language used in electronic design automation to describe digital and mixed-signal systems such as field-programmable gate arrays and integrated circuits. VHDL can also be used as a general purpose parallel programming language.“"
        }
      ],
      "glosses": [
        "VHSIC Hardware Description Language."
      ],
      "links": [
        [
          "computer language",
          "computer language"
        ],
        [
          "VHSIC",
          "VHSIC"
        ],
        [
          "Hardware",
          "hardware"
        ],
        [
          "Description",
          "description"
        ],
        [
          "Language",
          "language"
        ]
      ],
      "qualifier": "hardware",
      "raw_glosses": [
        "(computer languages, hardware) VHSIC Hardware Description Language."
      ],
      "topics": [
        "computer-languages",
        "computing",
        "engineering",
        "mathematics",
        "natural-sciences",
        "physical-sciences",
        "sciences"
      ],
      "wikipedia": [
        "VHDL"
      ]
    }
  ],
  "word": "VHDL"
}

Download raw JSONL data for VHDL meaning in All languages combined (1.2kB)


This page is a part of the kaikki.org machine-readable All languages combined dictionary. This dictionary is based on structured data extracted on 2024-09-22 from the enwiktionary dump dated 2024-09-20 using wiktextract (af5c55c and 66545a6). The data shown on this site has been post-processed and various details (e.g., extra categories) removed, some information disambiguated, and additional data merged from other sources. See the raw data download page for the unprocessed wiktextract data.

If you use this data in academic research, please cite Tatu Ylonen: Wiktextract: Wiktionary as Machine-Readable Structured Data, Proceedings of the 13th Conference on Language Resources and Evaluation (LREC), pp. 1317-1325, Marseille, 20-25 June 2022. Linking to the relevant page(s) under https://kaikki.org would also be greatly appreciated.